Subversion Repositories HelenOS

Rev

Go to most recent revision | Details | Last modification | View Log | RSS feed

Rev Author Line No. Line
3467 rimsky 1
if not defined create_network {$create_network = "yes"}
2
 
3
$disk_size = 8513945600
4
 
5
###
6
 
7
if not defined hostid        {$hostid        = 0x80804a6c}
8
if not defined freq_mhz      {$freq_mhz      = 75}
9
if not defined mac_address   {$mac_address   = "10:10:10:10:10:24"}
10
if not defined disk_size     {$disk_size     = 2128486400}
11
if not defined rtc_time      {$rtc_time      = "2002-06-02 17:00:00 UTC"}
12
if not defined num_cpus      {$num_cpus      = 3}
13
if not defined megs_per_cpu  {$megs_per_cpu  = 256}
14
if not defined cpu_class     {$cpu_class     = "ultrasparc-iii-plus"}
15
 
16
###
17
 
18
add-directory "%simics%/targets/serengeti/images/"
19
 
20
import-pci-components
21
import-std-components
22
import-sun-components
23
import-serengeti-components
24
 
25
if $cpu_class == "ultrasparc-iii-plus" {
26
    $create_function = "create-serengeti-us-iii-plus-cpu-board"
27
} else if $cpu_class == "ultrasparc-iii" {
28
    $create_function = "create-serengeti-us-iii-cpu-board"
29
} else if $cpu_class == "ultrasparc-iv" {
30
    $create_function = "create-serengeti-us-iv-cpu-board"
31
} else if $cpu_class == "ultrasparc-iv-plus" {
32
    $create_function = "create-serengeti-us-iv-plus-cpu-board"
33
} else {
34
    echo "Unknown cpu_class" + $cpu_class
35
    exit 1
36
}
37
 
38
$system = (create-serengeti-6800-chassis hostid = $hostid
39
                                         mac_address = $mac_address
40
                                         rtc_time = $rtc_time)
41
$board = 0
42
$cpus_left = $num_cpus
43
while $cpus_left > 0 {
44
    $cpus = (min 4 $cpus_left)
45
    $cpubrd[$board] = ($create_function num_cpus = $cpus
46
                                        cpu_frequency = $freq_mhz
47
                                        memory_megs = ($megs_per_cpu * $cpus))
48
    $system.connect ("cpu-slot" + $board) $cpubrd[$board]
49
    $board += 1
50
    $cpus_left -= 4
51
}
52
unset cpus
53
 
54
$pciboard = (create-serengeti-pci8-board)
55
 
56
$pci_hme = (create-sun-pci-hme mac_address = $mac_address)
57
$pci_glm = (create-pci-sym53c875)
58
$scsi_bus = (create-std-scsi-bus)
59
$scsi_disk = (create-std-scsi-disk scsi_id = 0 size = $disk_size)
60
$scsi_cdrom = (create-std-scsi-cdrom scsi_id = 6)
61
$console = (create-std-text-console)
62
 
63
###
64
 
65
$system.connect io-slot6 $pciboard
66
$pciboard.connect pci-slot0 $pci_hme
67
$pciboard.connect pci-slot5 $pci_glm
68
$scsi_bus.connect $pci_glm
69
$scsi_bus.connect $scsi_disk
70
$scsi_bus.connect $scsi_cdrom
71
$system.connect $console
72
 
73
$machine_defined = 1
74
 
75
instantiate-components
76
 
77
$eth_comp = $pci_hme
78
$eth_cnt = ""
79
 
80
if $create_network == "yes" {
81
    if not $eth_link {
82
        $eth_link = (new-std-ethernet-link)
83
    }
84
    $eth_link.connect $eth_comp $eth_cnt
85
    if not $service_node {
86
        $service_node = (new-std-service-node)
87
        local $cnt = ($service_node.add-connector 10.10.0.1)
88
        $service_node.connect $cnt $eth_link
89
    }
90
}
91
 
92
unset eth_comp eth_cnt
93
 
94
$cdrom_path = "image.iso"
95
   ($scsi_cdrom.get-component-object cd).insert (new-file-cdrom $cdrom_path)
96
   $system.set-prom-env boot-command "boot /ssm@0,0/pci@19,700000/scsi@2/disk@6,0:f"
97
   $system.set-prom-env auto-boot? true 
98
 
99
@buf = 0;
100
@offset = 0;
101
@register2Number = SIM_get_register_number(SIM_current_processor(), "g2");
102
@register3Number = SIM_get_register_number(SIM_current_processor(), "g3");
103
 
104
@def schedule():
105
	SIM_realtime_event(100, handler, 0, 0, '');
106
 
107
@def handler(x):
108
	global buf
109
	global offset
110
	if (SIM_simics_is_running()):
111
		register2Value = SIM_read_register(SIM_current_processor(), register2Number);
112
		if ((buf == 0) and register2Value == 0x18273645):	
113
			buf = SIM_read_register(SIM_current_processor(), register3Number);
114
			SIM_write_register(SIM_current_processor(), register2Number, 0);
115
			SIM_flush();
116
			print buf
117
		elif (buf != 0):
118
			byte = SIM_read_phys_memory(SIM_current_processor(), buf + offset, 1);
119
			while byte != 0:
120
				SIM_putchar(byte);
121
				SIM_flush();
122
				SIM_write_phys_memory(SIM_current_processor(), buf + offset, 0, 1);
123
				offset = (offset + 1) % 512;
124
				byte = SIM_read_phys_memory(SIM_current_processor(), buf + offset, 1);
125
	schedule();
126
 
127
@schedule();